! ------------------------------------------ ! TIME INCREMENTS. ! ! This Set of subroutines keeps track of evolution times. ! !CMR Lab...UIUC...Trent Franks...4-22-04 ! ------------------------------------------ !---- Acquisition TPPM Parameters .long cycles "no. of TPPM cycles" = 8; !TPPM parameters .ampl extern aHdeccw ; !---- TPPM phases .phase autofix extern p0 = 0.0; .phase autofix extern p2 = 15.0; !---- TPPM amplitudes .ampl autofix a_tmp = 0; !---- Parameters for Y TPPM during acquisition .long cyclesy = 8; .ampl autofix a_tmp2; .time autofix extern pwtppm2 = 20u; .time autofix extern pwgap2 = 100u; .phase autofix extern p_tppm2 = 30.0; .phase list tppm2[]=0,90,0,90,90,0,90,0,180,270,180,270,270,180,270,180; !---- Phase lists .phase p00 = 0.0; .phase p90 = 90.0; .phase p180 = 180.0; .phase p270 = 270.0; .update "rb=1.30*sw"; .update "aqtm=(dw*al)"; DEFINE START_MAIN(time) aqph=@abph++; out time; ENDDEF DEFINE acq_prog(pw,pw2) p2=p2+p0; p_tppm2 = p_tppm2 + p0; a_tmp = aHdec; a_tmp2 = aYdec; ! pd = pd - 10.1m; do this in the main sequence program file cycles = ceil((ad + rd + aqtm + 10us)/(2.0 * pw)) - 1; !Calculate TPPM cycles cyclesy = ceil((ad + rd + aqtm + 10us)/(2.0*(pw2))) - 1; ! TPPM cycles on Y ! txduty1 = (pw90H+(2.0*ct)+(dw2*AL2)+(2.0*ct2)+ad+rd+aqtm)/extm; ! if (txduty1 > 0.2) {error(TXDUTY_ERR);} !Duty factor too large ENDDEF !----DECOUPLING DEFINE TPPM_DEC_H(aTPPM,cycles,xcyclerm) if(xcyclerm > 0){ out time(xcyclerm) ch2:TG|aTPPM|p0; } do(cycles){ out pw ch2:TG|aTPPM|p2; out pw ch2 :TG|p0; } ENDDEF DEFINE TPPM_DEC_N(a_tmp2) out pwgap2 ch3: P(@tppm2++); out pwtppm2 ch3: TG|a_tmp2; do(cyclesy) { out pwgap2 ch3: P(@tppm2++); out pwtppm2 ch3: TG|a_tmp2; out pwgap2 ch3: P(@tppm2++); out pwtppm2 ch3: TG; } ENDDEF DEFINE CW_DEC(aCW,tCW) out tCW ch2:TG|A(aCW); ENDDEF DEFINE ACQ out rd ch1: TB; out ad ch1: RE | TB; Acq dw ch1: RE | TB; out time(50u) ch1: TB; ENDDEF DEFINE Acquisition(dwell) out rd ch1: TB; out ad ch1: RE | TB; Acq dwell ch1: RE | TB; out time(50u) ch1: TB; !out time(ct) ch1: TG | A(aXcp); ENDDEF